ctrl+shift+p filters: :st2 :st3 :win :osx :linux
Browse

Verilog

by pro711 ALL

Verilog Package for Sublime Text 2/3

Details

  • 2024.01.27.23.45.51
  • github.​com
  • github.​com
  • 3 months ago
  • 2 hours ago
  • 11 years ago

Installs

  • Total 85K
  • Win 57K
  • Mac 13K
  • Linux 15K
Apr 25 Apr 24 Apr 23 Apr 22 Apr 21 Apr 20 Apr 19 Apr 18 Apr 17 Apr 16 Apr 15 Apr 14 Apr 13 Apr 12 Apr 11 Apr 10 Apr 9 Apr 8 Apr 7 Apr 6 Apr 5 Apr 4 Apr 3 Apr 2 Apr 1 Mar 31 Mar 30 Mar 29 Mar 28 Mar 27 Mar 26 Mar 25 Mar 24 Mar 23 Mar 22 Mar 21 Mar 20 Mar 19 Mar 18 Mar 17 Mar 16 Mar 15 Mar 14 Mar 13 Mar 12 Mar 11
Windows 11 12 22 16 16 11 16 21 20 26 21 8 9 13 20 15 17 22 8 7 7 17 14 8 12 8 4 13 6 23 35 19 11 12 26 12 15 24 16 15 8 19 25 26 23 23
Mac 1 0 1 0 3 1 1 1 1 2 2 2 0 0 1 2 1 1 1 1 1 0 1 1 0 0 0 0 1 1 0 0 0 1 1 0 1 2 2 1 0 1 0 1 1 0
Linux 3 2 7 3 1 2 4 8 3 8 5 3 4 5 7 2 2 5 2 2 4 3 3 2 4 6 2 1 4 6 5 1 3 1 2 4 4 4 3 1 0 3 4 4 5 3

Readme

Source
raw.​githubusercontent.​com

Sublime Text Verilog

Verilog Package for Sublime Text 2/3. Based on Verilog plugin from Sublime Text Community Packages.

Supports Verilog files (*.v, *.V)

Features include - Syntax Hightlighting - Code snippets